AN 987: Ntlafatso e tsitsitseng e sa Feleng
Reconfiguration Tutorial
Thupelo e tsitsitseng ea ntlafatso e sa fetoheng bakeng sa Intel® ™ Agilex F-Series FPGA Development Board
Lengolo lena la kopo le bonts'a static update partial reconfiguration (SUPR) ho Intel ® F-Series FPGA Development Board. Phetoho e itseng (PR) e u lumella ho hlophisa bocha karolo ea Intel FPGA ka matla, ha FPGA e setseng e ntse e tsoela pele ho sebetsa. PR e sebelisa batho ba bangata sebakeng se itseng moralong oa hau, ntle le ho ama ts'ebetso libakeng tse kantle ho sebaka sena. Mokhoa ona o fana ka advan e latelangtaglits'ebetsong tseo ho tsona mesebetsi e mengata e arolelanang lisebelisoa tse tšoanang tsa FPGA:
- E lumella tlhophiso ea nako ea ts'ebetso
- E eketsa scalability ea moralo
- E fokotsa nako ea ho theoha ha sistimi
- E ts'ehetsa mesebetsi e mengata ea ho kopanya nako ka har'a moralo
- E fokotsa litšenyehelo le tšebeliso ea matla ka tšebeliso e nepahetseng ea sebaka sa boto
Reconfiguration ea Static Update Partial ke eng?
Ho PR ea setso, phetoho efe kapa efe sebakeng se sa fetoheng e hloka hore motho e mong le e mong a ntlafatsoe. Leha ho le joalo, ka SUPR o ka hlalosa sebaka se ikhethileng se lumellang phetoho, ntle le ho hloka ho bokelloa ha batho. Mokhoa ona o na le thuso bakeng sa karolo ea moralo oo u ka ratang ho o fetola bakeng sa phokotso ea kotsi, empa ha ho mohla e hlokang ho lokisoa bocha ha nako ea ho sebetsa.
1.1. Litlhoko tsa Thuto
Thupelo ena e hloka tse latelang:
- Tsebo ea mantlha le phallo le morero oa Intel Quartus® Prime Pro Edition FPGA files.
- Ho kenngoa ha Intel Quartus Prime Pro Edition version 22.3, ka tšehetso ea lisebelisoa tsa Intel Agilex.
- Bakeng sa ts'ebetsong ea FPGA, JTAG khokahano le boto ea nts'etsopele ea Intel Agilex F-Series FPGA bencheng.
- Khoasolla Reference Design Files. Lintlha Tse Amanang
- Tataiso ea Mosebelisi ea Reconfiguration e sa Feleng
- Lithupelo tsa ho Fetola Bocha
- Karolo e sa Feleng Reconfiguration Online Koetliso
Intel Corporation. Litokelo tsohle li sirelelitsoe. Intel, logo ea Intel, le matšoao a mang a Intel ke matšoao a khoebo a Intel Corporation kapa lithuso tsa eona. Intel e tiisa ts'ebetso ea FPGA ea eona le lihlahisoa tsa semiconductor ho latela litlhaloso tsa hajoale ho latela waranti e tloaelehileng ea Intel, empa e na le tokelo ea ho etsa liphetoho ho lihlahisoa le lits'ebeletso life kapa life ka nako efe kapa efe ntle le tsebiso. Intel ha e nke boikarabello kapa boikarabello bo hlahang ka lebaka la kopo kapa ts'ebeliso ea tlhahisoleseling efe kapa efe, sehlahisoa, kapa ts'ebeletso e hlalositsoeng mona ntle le ha ho lumellane ka ho hlaka ka lengolo ke Intel. Bareki ba Intel ba eletsoa ho fumana mofuta oa morao-rao oa litlhaloso tsa sesebelisoa pele ba itšetleha ka tlhahisoleseling efe kapa efe e phatlalalitsoeng le pele ba kenya liodara tsa lihlahisoa kapa lits'ebeletso. *Mabitso a mang le mabitso a mang a ka nkoa e le thepa ea ba bang.
ISO 9001:2015 E Ngolisitsoe
1.2. Reference Design Overview
Moralo ona oa litšupiso o na le k'haontara e le 'ngoe, ea 32-bit. Boemong ba boto, moralo o hokahanya oache ho mohloli oa 50MHz, ebe o hokahanya tlhahiso ho li-LED tse 'ne ka boto. Ho khetha sehlahisoa ho tsoa ho li-counter bits, ka tatellano e itseng, ho etsa hore li-LED li panye ka lebelo le itseng. Top_counter module ke sebaka sa SUPR.
Setšoantšo sa 1. Flat Reference Design
1.3. Static Update Region Overview
Setšoantšo se latelang se bontša setšoantšo sa thibela bakeng sa moralo oa PR o kenyelletsang sebaka sa SUPR. Block A ke sebaka sa Top static. Block B ke sebaka sa SUPR. Block C ke karolo ea PR.
Setšoantšo sa 2. Moralo oa PR le Sebaka sa SUPR
- Sebaka sa Top Static-e na le logic ea moralo e sa fetoheng. Ho fetola sebaka sena ho hloka hore batho bohle ba amanang le bona ba kopane. Sebaka se tsitsitseng se kenyelletsa karolo ea moralo o sa fetoheng bakeng sa motho ofe kapa ofe. Sebaka sena se ka kenyelletsa lisebelisoa tsa periphery le tsa mantlha. U tlameha ho ngolisa puisano eohle pakeng tsa likarolo tsa SUPR le PR sebakeng se tsitsitseng. Tlhokahalo ena e thusa ho netefatsa ho koaloa ha nako bakeng sa batho leha e le bafe, mabapi le sebaka se tsitsitseng.
- Sebaka sa B SUPR-e na le mabaka a mantlha a ka 'nang a fetoha bakeng sa ho fokotsa kotsi, empa ha ho mohla e hlokang ho tsosolosoa ha nako ea ho sebetsa. Sebaka sa SUPR se na le litlhoko le lithibelo tse tšoanang le karohano ea PR. Karohano ea SUPR e ka ba le lisebelisoa tsa mantlha feela. Ka hona, karohano ea SUPR e tlameha ho ba karohano ea bana ea karohano ea metso ea boemo bo holimo e nang le periphery ea moralo le lioache. Ho fetola sebaka sa SUPR ho hlahisa Ntho ea SRAM File (.sof) e tsamaellanang le tsohle tse teng tse hlophisitsoeng tsa Raw Binary File (.rbf) files bakeng sa karolo ea PR ea C.
- C PR Partition - e na le mabaka a sa utloahaleng ao u ka a hlophisang ka nako ea ho sebetsa ka mohopolo ofe kapa ofe oa moralo o lumellanang le ho fihlela ho koaloa ha nako nakong ea ho kopanya.
1.4. Khoasolla Reference Design Files
Thupelo ea ho lokisa bocha e fumaneha sebakeng se latelang: https://github.com/intel/fpga-partial-reconfig
Ho khoasolla thuto:
- Tobetsa Clone kapa download.
- Tobetsa Download ZIP. Unzip ea fpga-partial-reconfig-master.zip file.
- Tsamaea ho li-tutorials/agilex_pcie_devkit_blinking_led_supr foldara ho fihlella moralo oa litšupiso.
Sephutheli se bataletseng se na le tse latelang files:
Lethathamo la 1. Moqapi oa Litšupiso Files
File Lebitso | Tlhaloso |
holimo. sv | Boemo bo holimo file e nang le ts'ebetsong e bataletseng ea moralo. Mojule ona o tiisa karohano ea blinking_led le top_counter module. |
t op_counter . sv | K'haonte ea boemo bo holimo ea 32-bit e laolang LED [1] ka kotloloho. Sephetho se ngolisitsoeng sa k'haontareng se laola LED [0], hape se matlafatsa LED [2] le LED [3] ka mojule oa blinking_led. |
blinking_led. sdc | E hlalosa litšitiso tsa nako bakeng sa morero. |
blinking_led. sv | Thutong ena, o fetolela mojule ona ho ba karolo ea motsoali oa PR. Mojule o fumana tlhahiso e ngolisitsoeng ea top_counter module, e laolang LED [2] le LED [3]. |
blinking_led.qpf | Morero oa mantlha oa Intel Quartus file e nang le lethathamo la lintlafatso tsohle tsa morero. |
blinking_led . qs f | Litlhophiso tsa Intel Quartus Prime file e nang le likabelo le litlhophiso tsa morero. |
Hlokomela: Supr foldara e na le sete e felletseng ea fileo theha o sebelisa sesebelisoa sena Hlokomela. Sheba tsena files ka nako efe kapa efe nakong ea ho tsamaea.
1.5. Reference Design Walkthrough
Mehato e latelang e hlalosa ts'ebetsong ea SUPR ka moralo o bataletseng:
- Mohato oa 1: Ho Qala
- Mohato oa 2: Etsa Likarolo tsa Moqapi
- Mohato oa 3: Abela Libaka tsa ho Bea le ho Tsamaisa Litsela
- Mohato oa 4: Hlalosa Batho
- Mohato oa 5: Etsa Liphetoho
- Mohato oa 6: Kopanya Base Revision
- Mohato oa 7: Hlophisa Liphetoho tsa Ts'ebetsong ea PR
- Mohato oa 8: Fetola Logic ea SUPR
- Mohato oa 9: Lenaneo la Boto
Setšoantšo sa 3. Phallo ea Kopano ea SUPR
1.5.1. Mohato oa 1: Ho Qala
Ho kopitsa moralo oa litšupiso files sebakeng sa hau sa ts'ebetso 'me u hlophise moralo oa sephara sa blinking_led:
- Pele o qala, Khoasolla Reference Design Files leqepheng la 5.
- Theha lethathamo la agilex_pcie_devkit_blinking_led_supr sebakeng sa hau sa mosebetsi.
- Kopitsa lithupelo tse jarollotsoeng/agilex_pcie_devkit_blinking_led/flat sub-folders ho agilex_pcie_devkit_blinking_led_supr directory.
- Ho software ea Intel Quartus Prime Pro Edition, tobetsa File ➤ Open Project ebe o bula /flat/blinking_led.qpf.
- Ho bokella moralo oa motheo, tobetsa Ho sebetsa ➤ Qala ho Kopanya. Nako ea Analyzer e tlaleha e bula ka bo eona ha pokello e felile. U ka koala Analyzer ea Nako hajoale.
1.5.2. Mohato oa 2: Etsa Likarolo tsa Moqapi
Theha likarolo tsa moralo bakeng sa sebaka ka seng seo u batlang ho se hlophisa bocha. U ka theha palo efe kapa efe ea likarolo tse ikemetseng kapa libaka tsa PR morerong oa hau. Latela mehato ena ho theha likarolo tsa moralo bakeng sa mohlala oa u_blinking_led joalo ka karolo ea PR, le mohlala oa u_top_counter joalo ka sebaka sa SUPR:
- Tobetsa ka ho le letona u_blinking_led mohlala ho Project Navigator ebe o tobetsa Design Partition
➤ Reconfigurable. Letšoao la karohano ea moralo le hlaha haufi le ketsahalo ka 'ngoe e behiloeng joalo ka karohano.
Setšoantšo sa 4. Ho theha Likarolo tsa Moqapi - Pheta mohato oa 1 ho theha karohano bakeng sa mohlala oa u_top_counter.
- Tobetsa Mosebetsi ➤ Fesetere ea Likarolo tsa Moralo. Fesetere e bonts'a likarolo tsohle tsa moralo oa projeke.
Setšoantšo sa 5. Fensetere ea Likarolo tsa Moqapi
- Penya habeli ho blinking_led Partition Name cell ho e reha bocha ho pr_partition. Ka mokhoa o ts'oanang, reha karolo ea top_counter ho supr_partition.
Ntle le moo, ho kenyelletsa mela e latelang ho blinking_led.qsf ho theha likarolo tsena:
set_instance_assignment -name PARTITION pr_partition \ -ho u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -ho u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition \ -ho u_top_counter -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -ho u_top_counter -entity top
1.5.3. Mohato oa 3: Abela Libaka tsa ho Bea le ho Tsamaisa Litsela
Bakeng sa ntlafatso e 'ngoe le e' ngoe eo u e etsang, Compiler e sebelisa kabo ea sebaka sa PR ho beha motheo o lumellanang oa motho sebakeng se boloketsoeng. Latela mehato ena ho fumana le ho abela sebaka sa PR setsing sa lisebelisoa bakeng sa tlhahlobo ea hau ea motheo:
- Ho "Project Navigator Hierarchy" tab, tobetsa ka ho le letona ho mohlala oa u_blinking_led, ebe u tobetsa Sebaka sa Lock Lock ➤ Theha Sebaka se Secha sa Lock Lock. Sebaka sena se hlaha fensetereng ea Logic Lock Regions.
- Hlalosa sebaka sa Bophara ba 5 le Bophahamo ba 5.
- Hlalosa lihokahanyo tsa libaka tsa u_blinking_led ho Origin kholomo. Tšimoloho e lumellana le sekhutlo se ka tlaase ho le letšehali la sebaka seo. Hlalosa Tšimoloho joalo ka X166_Y199. Compiler e bala (X170 Y203) e le khokahanyo e holimo ka ho le letona.
- Numella likhetho tse Reriloeng le tse Hloahloa feela bakeng sa sebaka seo.
- Tobetsa habeli khetho ea Sebaka sa Routing. Lebokose la puisano la Litlhophiso tsa Sebaka sa Logic Lock Routing lea hlaha.
- Bakeng sa Mofuta oa Routing, khetha E tsitsitseng ka katoloso. Khetho ena e fana ka bolelele ba Katoloso ea e le 'ngoe.
- Pheta mehato e fetileng ho fana ka lisebelisoa tse latelang bakeng sa karohano ea u_top_counter:
• Bophahamo—5
• Bophara—5
• Tšimoloho—X173_Y199
• Sebaka sa Tsela— E lokisitsoe ka ho atolosoa ka bolelele ba e le 'ngoe.
• E boloketsoe—E Buletsoe
• Mantlha-feela—Bula
Setšoantšo sa 6. Logic Lock Libaka Fesetere
Hlokomela: Sebaka sa litsela se tlameha ho ba seholo ho feta sebaka seo ho behoang ho sona, ho fana ka maemo a eketsehileng bakeng sa litsela tsa Compiler.tage, ha Compiler e tsamaisa batho ba fapaneng.
- Sebaka seo u leng ho sona se tlameha ho kenyeletsa le blinking_led logic. Ho khetha sebaka seo u tla behoang ho sona ka ho fumana node ho Chip Planner, tobetsa ka ho le letona u_blinking_led lebitso la sebaka fensetereng ea Logic Lock Regions, ebe u tobetsa Fumana Node ➤ Fumana ho Chip Planner.
- Tlas'a Litlaleho tsa Karolo, tobetsa habeli Likarolo tsa Moqapi oa Tlaleho. The Chip Planner e totobatsa le ho khetholla mebala sebakeng seo.
Setšoantšo sa 7. Chip Planner Node Location bakeng sa blinking_led
Ntle le moo, ho kenya mela e latelang ho blinking_led.qsf ho theha libaka tsena:
set_instance_assignment -name PARTITION pr_partition -ho \ u_blinking_led -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON \ -ho u_blinking_led -entity top
set_instance_assignment -name PARTITION supr_partition -ho u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -ho \ u_top_counter -entity top
set_instance_assignment -name PLACE_REGION “X166 Y199 X170 Y203” -ho \ u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -ho u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -ho u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -ho u_blinking_led
set_instance_assignment -name ROUTE_REGION “X165 Y198 X171 Y204” -ho \ u_blinking_led
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -ho u_blinking_led
set_instance_assignment -name PLACE_REGION “X173 Y199 X177 Y203” -ho \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -ho u_top_counter
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -ho u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION “X172 Y198 X178 Y204” -ho \ u_top_counter
set_instance_assignment -name RESERVE_ROUTE_REGION OFF -ho u_top_counter
1.5.4. Mohato oa 4: Hlalosa Batho
Moralo ona oa litšupiso o hlalosa batho ba bararo ba arohaneng bakeng sa karohano e le 'ngoe ea PR, le motho a le mong oa SUPR bakeng sa sebaka sa SUPR. Latela mehato ena ho hlalosa le ho kenyelletsa batho bana morerong oa hau. Haeba u sebelisa Intel Quartus Prime Text Editor, thibela Add file
ho morero oa hajoale ha o boloka files.
- Etsa blinking_led_slow.sv e ncha, blinking_led_empty.sv, le top_counter_fast.sv SystemVerilog files bukeng ea hau ea ho sebetsa. Netefatsa hore blinking_led.sv e se e le teng bukeng e sebetsang.
- Kenya litaba tse latelang bakeng sa SystemVerilog files:
Lethathamo la 2. Reference Design Personas SystemVerilog
File Lebitso Tlhaloso Khoutu blinking_led_butle. sv Li-LED li panya butle timescale 1 ps / 1 ps 'default_nettype ha ho letho
mojule blinking_led_slow // oache
ho kenya oache ea terata, ho seta terata botjha, terata e kenang [31:01 counter,
// Laola matšoao bakeng sa terata e hlahisoang ke LED e led_two_on,
terata ea tlhahiso led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; leoto le etelletseng pele_tharo_ho_r; abela led_two_on = led_two_on_r; abela led_three_on = led_three_on_r; always_ff @(posedge clock) qala led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; endmoduleblinking_led_letho. sv Li-LED li lula ON timecale 1 ps / 1 ps 'default_nettype none module blinking_led_empty(// clock input wire clock, input wire reset, input wire [31:01 counter, // Laola matshwao a LEC- led_two_on, terata e tsoang led_three_on e tsoela pele… File Lebitso Tlhaloso Khoutu // LED e sebetsa ka tlase e fuoa led_two_on = l'IDO; abela led_three_on = 11b0; endmodule top_counter_fast.sv SUPR ea bobeli 'nako ea nako 1 ps / 1 maq motho Thdefault_nettype none module top_counter_fast // Laola mats'oao bakeng sa terata e hlahisoang ke LED_one_on, terata e tsoang [31:0] palo, // oache ea ho kenya oache ); localparam COUNTER TAP = 23; reg [31:0] count_d; abela palo = count_d; abela led_one_on = unt_d[COUNTER_TAP]; always_ff @(posedge clock) qala count_d <= count_d + 2; QETA .:module - Tobetsa File ➤ Boloka E le 'me u boloke .sv files bukeng ea hajoale ea morero.
1.5.5. Mohato oa 5: Etsa Liphetoho
Phallo ea moralo oa PR e sebelisa karolo ea ntlafatso ea projeke ho software ea Intel Quartus Prime. Moralo oa hau oa pele ke ntlafatso ea mantlha, moo o hlalosang meeli ea sebaka se sa fetoheng le libaka tse ka lokisoang bocha ho FPGA. Ho tsoa phetolelong ea mantlha, u theha lintlafatso tse ling. Lintlafatso tsena li na le ts'ebetsong e fapaneng bakeng sa libaka tsa PR. Leha ho le joalo, lintlafatso tsohle tsa ts'ebetso ea PR li sebelisa liphetho tse tšoanang tsa boemo bo holimo le liphetho tsa tlhahlobo ea mantlha. Ho bokella moralo oa PR, o theha tlhahlobo ea ts'ebetsong ea PR bakeng sa motho ka mong. Ntle le moo, o tlameha ho abela mofuta oa ntlafatso ea Karolo e 'ngoe - Base kapa Karolo e Ncha - Persona Implementation mofuta oa ntlafatso bakeng sa e 'ngoe le e 'ngoe ea lintlafatso. Tafole e latelang e thathamisa lebitso la ntlafatso le mofuta oa ntlafatso bakeng sa e 'ngoe le e 'ngoe ea lintlafatso. Phetolelo ea impl_blinking_led_supr_new.qsf ke ts'ebetsong ea motho ea SUPR.
Lethathamo la 3. Mabitso a Phethahatso le Mefuta
Lebitso la Phetoho | Mofuta oa ntlafatso |
blinking_led | Phetoho e sa Feleng - Motheo |
blinking_led_default | Phetoho e sa Lekaneng - Phethahatso ea Motho |
blinking_led_butle | Phetoho e sa Lekaneng - Phethahatso ea Motho |
blinking_led_letho | Phetoho e sa Lekaneng - Phethahatso ea Motho |
impl_blinking_led_supr_new | Phetoho e sa Lekaneng - Phethahatso ea Motho |
1.5.5.1. Ho beha Base Revision
Latela mehato ena ho beha blinking_led joalo ka phetolelo ea mantlha:
- Tobetsa Morero ➤ Liphetoho.
- Bakeng sa Mofuta oa Phetoho, khetha Phetoho e sa Feleng - Base.
Mohato ona o kenyelletsa tse latelang ho blinking_led.qsf:
##blinking_led.qsf set_global_assignment -name REVISION_TYPE PR_BASE
1.5.5.2. Ho theha Liphetoho tsa Phethahatso
Latela mehato ena ho theha litokiso tsa ts'ebetsong:
- Ka lebokoseng la lipuisano la Revisions, tobetsa habeli < >.
- Lebitsong la Tokiso, hlakisa blinking_led_default ebe u khetha blinking_led bakeng sa Ho ipapisitsoe le ntlafatso.
- Bakeng sa mofuta oa Phetoho, khetha Phetoho e sa Feleng - Phethahatso ea Motho.
- Tlosa Setha joalo ka khetho ea hajoale ea ntlafatso.
- Pheta mehato ea 2 ho isa ho ea 5 ho beha mofuta oa Revision bakeng sa lintlafatso tse ling tsa ts'ebetsong:
Lebitso la Phetoho | Mofuta oa ntlafatso | E thehiloe ho Revision |
blinking_led_butle | Phetoho e sa Lekaneng - Phethahatso ea Motho | blinking_led |
blinking_led_letho | Phetoho e sa Lekaneng - Phethahatso ea Motho | blinking_led |
impl_blinking_led_supr_new | Phetoho e sa Lekaneng - Phethahatso ea Motho | blinking_led |
Setšoantšo sa 8. Ho theha Liphetoho tsa Ts'ebetsong
E 'ngoe le e 'ngoe .qsf file hona joale e na le mosebetsi o latelang:
set_global_assignment -lebitso REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -ho u_top_counter
set_instance_assignment -lebitso ENTITY_REBINDING_motshwari wa sebaka -ho u_blinking_led
1.5.6. Mohato oa 6: Kopanya Base Revision
Latela mehato ena ho hlophisa tlhahlobo ea motheo le ho romela libaka tse sa fetoheng le tsa SUPR bakeng sa tšebeliso ea morao-rao litokisong tsa ts'ebetsong bakeng sa batho ba bacha ba PR:
- Beha blinking_led joalo ka Khatiso ea Hona joale haeba e se e se e behiloe.
- Fesetereng ea Likarolo tsa Moralo, tlanya (…) haufi le kholomo e hole ka ho le letona 'me u nolofalletse Thomelo ea ho qetela ea Post. File kholomo. U ka boela oa tima kapa ua fetola tatellano ea likholomo.
- Ho romela ka bohona sets'oants'o sa ho qetela sa likarolo tsa moralo oa ts'ebetso ea PR kamora pokello e 'ngoe le e' ngoe, bolela tse latelang bakeng sa Post Final Export. File likhetho bakeng sa likarolo tsa motso le SUPR. The .qdb files ho ea bukeng ea morero ka ho sa feleng.
• root_partition—blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
Setšoantšo sa 9. Auto Export in Design Partitions WindowNtle le moo, likabelo tse latelang tsa .qsf li romela li-partition ka botsona ka mor'a pokello ka 'ngoe:
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - karolo e ka holimo
set_instance_assignment -name EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_supr_partition_final.qdb -to u_top_counter \ -entity top - Ho bokella blinking_led base revision, tobetsa Processing ➤ Qala
Pokello. Ntle le moo, o ka sebelisa taelo e latelang ho hlophisa ntlafatso ena:
quartus_sh -flow compile blinking_led -c blinking_led Kamora ho hlophisoa ka katleho, tse latelang files hlaha bukeng ea morero:
• blinking_led.sof
• blinking_led.pr_partition.rbf
• blinking_led.supr_partition.rbf
• blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. Mohato oa 7: Hlophisa Liphetoho tsa Ts'ebetsong ea PR
U tlameha ho lokisa lintlafatso tsa ts'ebetsong ea PR pele u ka hlahisa PR bitstream bakeng sa lenaneo la lisebelisoa. Seta sena se kenyelletsa ho kenya sebaka se sa fetoheng .qdb file joalo ka mohloli file bakeng sa ntlafatso e 'ngoe le e 'ngoe ea ts'ebetsong. Ho feta moo, o tlameha ho hlakisa
setheo se tsamaellanang le sebaka sa PR. Latela mehato ena ho theha lintlafatso tsa ts'ebetsong ea PR:
- Ho seta phetolelo ea hajoale, tobetsa Morero ➤ Liphetoho, khetha blinking_led_default e le lebitso la Phetolelo, ebe u tobetsa Set Current. Ntle le moo, o ka khetha ntlafatso ea hajoale ho sesebelisoa sa mantlha sa Intel Quartus Prime.
- Ho netefatsa mohloli o nepahetseng oa ntlafatso ena ea ts'ebetsong, tobetsa Morero ➤ Eketsa/Tlosa Files ho Project. Netefatsa hore blinking_led.sv file e hlaha ho file lethathamo.
- Ho netefatsa mohloli o nepahetseng file bakeng sa lintlafatso tsa ts'ebetsong, tobetsa Morero ➤ Eketsa/Tlosa files ho Project, 'me u kenye mohloli o latelang files bakeng sa litokiso tsa ts'ebetsong. Haeba e teng, tlosa blinking_led.sv lenaneng la morero files.
Iphethahatso Revision Lebitso Mohloli File blinking_led_letho blinking_led_empty.sv blinking_led_butle blinking_led_slow.sv - Beha blinking_led_default joalo ka Phetolelo ea Hona Joale.
- Ho hlakisa .qdb file joalo ka mohloli oa root_partition, tobetsa Mosebetsi ➤ Fesetere ea Likarolo tsa Moqapi. Penya habeli Sebaka sa polokelo ea likarolo File sele le ho hlakisa blinking_led_static.qdb file.
- Ka mokhoa o ts'oanang, hlakisa blinking_led_supr_partition_final.qdb joalo ka Lefapha la Karolo File bakeng sa supr_partition.
Setšoantšo sa 10.
Kapa, sebelisa .qsf mesebetsi e latelang ho hlakisa .qdb:
set_instance_assignment -name QDB_FILE_KAROLO \ blinking_led_static.qdb -to |
set_instance_assignment -name QDB_FILE_KAROLO \ blinking_led_supr_partition_final.qdb -ho u_top_counter - Fesetereng ea Likarolo tsa Moralo, tlanya (…) e bapileng le kholomo e hole ka ho le letona 'me u nolofalletse kholumo e tlamang Setheo hape.
-
Ka Seleng e Tlamang hape Motheo, bolela lebitso le lecha la setheo bakeng sa karohano ea PR eo u e fetolang tokisong ea hajoale ea ts'ebetso. Bakeng sa ntlafatso ea blinking_led_default, lebitso la mokhatlo le blinking_led. Tabeng ena, o hlakola mohlala oa u_blinking_led ho tsoa ho "base revision completion" ka "blinking_led" e ncha. Bakeng sa lintlafatso tse ling tsa ts'ebetsong, sheba tafole e latelang:
Khatiso Boleng bo Tlamang Setheo blinking_led_butle blinking_led_butle blinking_led_letho blinking_led_letho Setšoantšo sa 11. Ho Tlama Setheo hape
Ntle le moo, o ka sebelisa mela e latelang ho .qsf e 'ngoe le e 'ngoe ea ntlafatso ho hlophisa mesebetsi:
##blinking_led_default.qsf
set_instance_assignment -lebitso ENTITY_REBINDING ho panya_lebisitswe \ -ho_ho_ho
##blinking_led_slow.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led_ butle \ -ho u_blinking_led
##panya_led_letho.qsf
set_instance_assignment -lebitso ENTITY_REBINDING ho panya_le_letho \ -ho_ho_ho - Hlakola mongolo oa place_holder ho tsoa ho Entity Re-binding cell for supr_partition.
- Ho hlophisa moralo, tobetsa Ho sebetsa ➤ Qala ho Kopanya. Ntle le moo, sebelisa taelo e latelang ho bokella morero ona: quartus_sh -flow compile blinking_led -c blinking_led_default
- Pheta mehato ea 4 ho isa ho 11 ho lokisa le ho bokella lintlafatso tsa ts'ebetso tse blinking_led_slow le blinking_led_empty.
1.5.8. Mohato oa 8: Fetola Logic ea SUPR
Ho fetola ts'ebetso ea logic ka har'a karohano ea SUPR, o tlameha ho fetola mohloli oa karohano ea SUPR. Tlatsa mehato e latelang ho nkela sebaka sa u_top_counter sebakeng sa karohano ea SUPR ka top_counter_fast entity.
- Ho beha ntlafatso ea ts'ebetso ea SUPR hore e be ea hajoale, tlanya Project ➤ Revisions ebe u seta impl_blinking_led_supr_new e le ntlafatso ea hajoale, kapa khetha
ntlafatso ho sesebelisoa sa mantlha sa Intel Quartus Prime. - Ho netefatsa mohloli o nepahetseng file bakeng sa ntlafatso ea ts'ebetsong, tobetsa Morero ➤
Eketsa/Tlosa files ho Project, 'me u netefatse hore top_counter_fast.sv ke mohloli oa phetoho ea impl_blinking_led_supr_new ea ho kenya ts'ebetsong. Haeba e teng, tlosa top_counter.sv lenaneng la morero files. - Ho hlakisa .qdb file e amanang le karohano ea motso, tobetsa Mosebetsi ➤ Fensetere ea Likarolo tsa Moqapi, ebe u tobetsa habeli Sebaka sa polokelo ea likarolo. File sele ho hlakisa blinking_led_static.qdb.
Ntle le moo, sebelisa taelo e latelang ho abela sena file: set_instance_assignment -name QDB_FILE_KAROLO \ blinking_led_static.qdb -to | - Ho Entity Re-binding cell for pr_partition, bolela lebitso le nepahetseng la setheo. Bakeng sa example, hlakisa ntho e blinking_led_empty. Tabeng ena, o hlakola mohlala oa u_blinking_led ho tsoa ho "base revision completion" ka mokhatlo o mocha o hokahanyang_led_empty. Mothalo o latelang o teng ho .qsf:
##impl_blinking_led_supr_new.qsf set_instance_assignment -lebitso ENTITY_REBINDING ho panya_lebisitse_letho \ -ho_ho_ho_ho_ho tsamaisa - Ho Entity Re-binding cell for supr_partition, hlakisa top_counter_fast setheo. top_counter_fast ke lebitso la static entity e nkang sebaka sa u_top_counter ha o qeta SUPR.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -ho u_top_counter
- Ho hlophisa moralo, tobetsa Ho sebetsa ➤ Qala ho Kopanya. Ntle le moo, sebelisa taelo e latelang ho hlophisa ntlafatso ea morero: quartus_sh -flow compile blinking_led -c \ impl_blinking_led_supr_new
1.5.9. Mohato oa 9: Lenaneo la Boto
Latela mehato ena ho hokahanya le ho hlophisa boto ea ntlafatso ea Intel Agilex F-Series FPGA.
- Hokela phepelo ea motlakase ho boto ea nts'etsopele ea Intel Agilex F-Series FPGA.
- Hokela thapo ea USB pakeng tsa koung ea USB ea PC ea hau le sesebelisoa sa software sa USB botong ea nts'etsopele.
- Bula software ea Intel Quartus Prime, ebe o tobetsa Tools ➤ Programmer. Sheba ho Programming a Development Board.
- Ho Programmer, tobetsa Setupo sa Hardware, ebe u khetha USB-Blaster.
- Tobetsa Auto Detect, ebe u khetha sesebelisoa sa AGFB014R24B.
- Tobetsa OK. Software ea Intel Quartus Prime e lemoha le ho nchafatsa Lenaneo ka lisebelisoa tse tharo tsa FPGA tse botong.
- Khetha sesebelisoa sa AGFB014R24B, tobetsa Fetola File, ebe o kenya blinking_led_default.sof file.
- Numella Lenaneo/Configure bakeng sa blinking_led_default.sof file.
- Tobetsa Qala 'me u emetse hore sebaka sa tsoelo-pele se fihle ho 100%.
- Sheba li-LED tse botong li ntse li panya.
- Ho hlophisa sebaka sa PR feela, tlanya konopo e nepahetseng ea blinking_led_default.sof file ho Programmer ebe o tobetsa Add PR Programming File. Khetha blinking_led_slow.pr_partition.rbf file.
- Thibela Lenaneo/Configure bakeng sa blinking_led_default.sof file.
- Numella Lenaneo/Configure bakeng sa blinking_led_slow.pr_partition.rbf file, ebe o tobetsa Qala. Holima boto, sheba LED[0] le LED[1] li tsoela pele ho panya. Ha sebaka sa tsoelopele se fihla ho 100%, LED[2] le LED[3] li panya butle.
- Ho hlophisa bocha sebaka sa PR, tobetsa ka ho le letona ho .rbf file ho Programmer, ebe o tobetsa Change PR Programing File.
- Khetha .rbf files hore batho ba bang ba babeli ba shebe boitšoaro botong. E kenya blinking_led_default.pr_partition.rbf file e etsa hore li-LED li panye ka makhetlo a mantlha, le ho kenya blinking_led_empty.pr_partition.rbf file e etsa hore li-LED li lule li ON. 17. Ho fetola mohopolo oa SUPR, pheta mohato oa 7 ka holimo ho khetha impl_blinking_led_supr_new.sof. Ka mor'a ho fetola sena file, led [0:1] joale e panya ka lebelo ho feta pele. E 'ngoe PR .rbf files li boetse li tsamaisana le tse ncha .sof.
Hlokomela: Assembler e hlahisa .rbf file bakeng sa sebaka sa SUPR. Leha ho le joalo, ha ua lokela ho sebelisa sena file ho hlophisa FPGA hape ka nako ea ts'ebetso hobane karohano ea SUPR ha e kenye borokho ba leqhoa, molaoli oa sebaka sa PR, le mabaka a mang tsamaisong eohle. Ha o etsa liphetoho ho logic ea karohano ea SUPR, o tlameha ho hlophisa bocha .sof e felletseng file ho tsoa ho tlhophiso ea ntlafatso ea ts'ebetso ea SUPR.
Setšoantšo sa 12. Lenaneo la Boto ea Ntšetso-pele
1.5.9.1. Ho rarolla mathata a PR Programming Liphoso
Ho netefatsa tlhophiso e nepahetseng ea Intel Quartus Prime Programmer le lisebelisoa tse hokahaneng li thusa ho qoba liphoso leha e le life nakong ea lenaneo la PR.
Haeba u tobane le liphoso leha e le life tsa lenaneo la PR, sheba "Troubleshooting PR Programming Errors" ho Intel Quartus Prime Pro Edition User Guide: Reconfiguration e sa Feleng bakeng sa malebela a ho rarolla mathata a mohato ka mohato.
Lintlha Tse Amanang
Ho rarolla mathata a PR Programming Liphoso
1.5.10. Ho fetola karolo ea SUPR
U ka fetola karohano e teng ea SUPR. Ka mor'a ho fetola karolo ea SUPR, u tlameha ho e bokella, u hlahise .sof file, le ho hlophisa boto, ntle le ho bokella batho ba bang. Bakeng sa mohlalaampLe, latela mehato ena ho fetola top_counter_fast.sv module ho bala kapele:
- Beha impl_blinking_led_supr_new e le phetolelo ea hajoale.
- Ho top_counter_fast.sv file, tlosa polelo ea count_d + 2 ka count_d + 4.
- Etsa litaelo tse latelang ho kopanya hape thibela ea SUPR le ho hlahisa .sof e ncha file: quartus_sh -flow compile blinking_led \ -c impl_blinking_led_supr_new
Sephetho sa .sof hona joale se na le sebaka se secha sa SUPR, 'me se sebelisa blinking_led bakeng sa batho ba kamehla (matla-on) persona.
1.6. Nalane ea Tokomane ea Tokomane ea AN 987: Nalane ea Tlhabollo ea Tokomane e tsitsitseng
Tokomane Version | Intel Quartus Prime Version | Liphetoho |
2022.10.24 | 22. | Ho lokolloa ha tokomane ea pele. |
E ntlafalitsoe bakeng sa Intel® Quartus®Prime Design Suite: 22.3
Likarabo ho Lipotso Tse Tsoang Pele:
Romella Maikutlo
Q Ke eng static update partial reconfiguration
Apdeite e tsitsitseng Phetoho e 'ngoe e sa Feleng leqepheng la 3
Q Ke hloka eng bakeng sa thupelo ee?
Litlhokahalo tsa Thupelo leqepheng la 3
Q Nka fumana moralo oa litšupiso hokae?
Moralo oa Litšupiso tsa ho Koasolla Files leqepheng la 5
Q Ke etsa moralo oa SUPR joang?
Tlhahisoleseding ea Moralo oa Litšupiso leqepheng la 6
Q Ke eng PR persona?
Hlalosa Batho leqepheng la 10
Q Ke fetola logic ea SUPR joang? A Fetola Monahano oa SUPR leqepheng la 16
A Fetola Monahano oa SUPR leqepheng la 16
Q Ke etsa lenaneo la boto joang?
Lenaneo la Boto leqepheng la 18
Q Litaba le likhaello tsa PR ke life?
Liforamu tsa Ts'ehetso tsa Intel FPGA: PR
Online Version
Romella Maikutlo
ID: 749443
AN-987
Phetolelo: 2022.10.24
Litokomane / Lisebelisoa
![]() |
Intel Agilex F-Series FPGA Development Board [pdf] Bukana ea Mosebelisi Agilex F-Series, Agilex F-Series FPGA Development Board, FPGA Development Board, Development Board, Board |